検索結果

  • SystemVerilog は、ハードウェア記述言語のVerilog HDLを拡張した言語で、主に検証に関する機能が拡張・統合されている。2002年にAccelleraに対して Superlog 言語を寄付したことで生まれた。検証機能の部分はシノプシスが提供した OpenVera に基づいている。2005年、SystemVerilog…
    19キロバイト (2,542 語) - 2023年3月16日 (木) 20:31
  • ラミング言語のC言語やPascalに似ている。 後継言語はSystemVerilogで、Verilogの機能的な上位互換である。System Verilogの規格と統合して、「IEEE/IEC 62530:2011 SystemVerilog - Unified Hardware Design, Specification…
    32キロバイト (4,255 語) - 2023年12月30日 (土) 18:20
  • SPARK(英語版) Squeak Squirrel SPSS Standard ML Stata superC Swift SystemC SystemVerilog t3x TAL Telescript TeX Text Executive Programming Language(英語版) Tcl…
    12キロバイト (635 語) - 2024年1月4日 (木) 04:05
  • を置換するには至っていない。 ハードウェア記述言語の改善は長年に渡っている。Verilog HDL から派生した SystemVerilog では、様々な新機能がある。VHDL の最新版でも SystemVerilog の拡張と同等の機能を持たせるよう開発が進んでいる。今後も VHDL と Verilog HDL の改良は続くという予測がある。…
    28キロバイト (4,019 語) - 2023年7月7日 (金) 23:38
  • ISBN 4-621-07144-0 C3055 1666-2011 - IEEE Standard for Standard SystemC Language Reference Manual SpecC SystemVerilog SystemC ホームページ(英語)…
    5キロバイト (697 語) - 2021年10月12日 (火) 06:51
  • 1541 — Prefixes for Binary Multiples(2進接頭辞) IEEE(アイ・トリプル・イー) 1800 — SystemVerilog IEEE(アイ・トリプル・イー) 1888 — UGCCNet などがある。 ^ a b “IEEEスタンダード(標準規格)”. IEEEジャパン・オフィス…
    7キロバイト (701 語) - 2023年9月27日 (水) 03:48
  • 実装したり、FPGAで内蔵メモリ(SRAM)に対して読み書きすると、CRCWになる。 これは、わずか2クロックで配列の最大値の値を探す SystemVerilog の例。1クロック目で全ての配列の要素の組み合わせの比較を行い、2クロック目でその結果をマージしている。メモリは Common CRCW…
    5キロバイト (600 語) - 2021年3月18日 (木) 20:44
  • 1990年代後半よりHDLより抽象度の高い記述を可能とする言語の開発が始まった。C/C++を元にしたSystemC、SpecCや既存のVerilogの拡張であるSystemVerilogなどである。これらはシステム記述言語などと呼ばれる。 プラットフォームはサン・マイクロシステムズを中心とした各種ワークステーションのシェ…
    15キロバイト (2,093 語) - 2023年8月2日 (水) 06:53
  • Joule(英語版)- 1996年 Orange - 1996年 Pipeline Pilot - 1999年 Hume(英語版)- 2000年 SystemVerilog - 2002年 BMDFM(英語版)- 2002年 Quartz Composer - 2004年 KNIME(英語版)- 2006年…
    15キロバイト (1,950 語) - 2023年10月21日 (土) 11:13
  • ModelSim(モデルシム)は、米国メンター・グラフィックス社開発・販売のハードウェア記述言語用のシミュレータである。ハードウェア記述言語はVerilog (SystemVerilog)、VHDL、SystemCに対応し(コンパイル可能)、デバッグ環境でもある。 FPGA用には、以下のエディションもある。 ModelSim…
    2キロバイト (87 語) - 2011年5月18日 (水) 13:40
  • Adaの影響が多大なハードウェア記述言語 Verilog HDL - C言語風やPascal風などともいわれるが、どちらにも似ていないハードウェア記述言語 SystemVerilog - Verilogの拡張 Delphi - Object Pascal を、さらに拡張している。IDEによるGUIアプリの開発支援もある統合環境が用意された。…
    28キロバイト (3,687 語) - 2024年1月18日 (木) 05:55
  • を論理ゲートレベル回路に変換するための論理合成ツールの一つである。使用できるHDLとしてはVerilog HDL 、VHDLなどのほか SystemVerilogやSystemCへも対応している。合成された結果は同社標準の論理回路ライブラリのほか 半導体ベンダーが作成したライブラリを使って、ネットリスト(配線情報)化される。…
    5キロバイト (616 語) - 2024年4月5日 (金) 11:50
  • ムーアビーは1999年にCo-Design Automation社に入社し、その後2002年にシノプシスに入社して、Verilogを拡張したSystemVerilogに取り組んだ。 2005年10月10日、「EDA業界への貢献、特に電子設計自動化ツールとして世界で最も普及しているツールの1つであるVe…
    3キロバイト (294 語) - 2020年5月5日 (火) 09:01
  • Icarus Verilog(イカルス・ヴェリログ) はオープンソースの Verilog シミュレータ。Verilog 1995, 2001, SystemVerilog 2005 をサポートしている。 対応OSはLinux, FreeBSD, OpenSolaris, AIX, Microsoft Windows…
    2キロバイト (151 語) - 2021年10月12日 (火) 08:36
  • C++へ(Verilator を使用してシミュレーションやテストを行う) ハードウェア記述言語の主流は、VHDL、Verilog(および後継言語のSystemVerilog)であるが、これらは、ハードウェアの動作仕様の文書化、およびモデリングやシミュレーションのための言語として開発されたため、論理合成の機能…
    4キロバイト (358 語) - 2022年9月13日 (火) 07:00
  • (Haskellの部品へと)部分評価し、項書き換えシステム(term rewriting system; TRS)へとコンパイルされる。SystemVerilogのフロントエンドが用意されている。 Bluespecは2つの製品ラインを持っている。Bluspecは、主にASICとFPGAのハードウェ…
    3キロバイト (283 語) - 2020年4月16日 (木) 01:55
  • めのアナログおよびミックスドシグナル拡張(AMS)を含むVerilogハードウェア記述言語の派生語である。 これは、Verilog / SystemVerilog / VHDLのイベントベースのシミュレーターループを、アナログ領域の微分方程式を解く連続時間シミュレーターによって拡張したものでありる…
    7キロバイト (883 語) - 2022年5月23日 (月) 00:31