- すべて
- 画像・動画
並べ替え:新着順
SystemVerilogの整数型(byte, short, int, longint, integer, time)は、見かけはpackedアレイではありませんが、あたかもpackedアレイのように扱えます。この事実は、LRMに明記されています。 pic.twitter.com/upGwMsRAhH
Quartus Prime Lite 22.1でSystemVerilog error no support for unions がどうやっても消せない。 当該箇所でtypedef union packedしてるだけなのだが何が間違ってるんだろ。 マニュアルのSection 7—Aggregate Data TypesにもUnionsはSupportedと書いてあるんだけどどうしてなんだよ🥲
SystemVerilogのpackedアレイとunpackedアレイは、大局的にはアレイであり同じ概念です。例えば、何れにもforeachを使用できます。根本的な差異は、データの格納法にあります。 pic.twitter.com/d5bjD4n1cQ
ボクはSystemVerilogを「プログラミング言語のように書きやすい」みたいに言う主張はかなり嫌いなんだけども(回路はデータフローで常に考えるべき)、この書き方ができるのは、かなり、キモいな...便利だけど。 edaplayground.com/x/pRJ2 pic.twitter.com/iZafC7jYB1
SystemVerilogのキューに値を設定するためには、キューリテラルを使うかキューのメソッドを使用しなければなりません。意外と不便を感じますが、新機能のmapを使用すれば、その問題は解消されます。 pic.twitter.com/bi3wMToNGB
module(input [31:0] N,outout [31:0] s); logic [31:0] c0,c1,c,s0; assign c0=N&32’h1; assign s0=N^32’h1; assign c=c0 | c1; assign c1=s0&(c<<1); assign s=s0^(c<<1); endmodule 言語はSystemVerilog(ハードウェア記述言語)です!(多分合成できない)
+や-なしでintに1を足すには? #ゆっくり解説 久々にこういうのを作ってみました。解法はほぼ一通りだと思っていますが、前回(for,while,goto,再帰なしで100万回Hello, World!)は何十個も別解が来たのでまた別解があるかもしれません、あったら教えてください。youtube.com/shorts/rSEoyOE…
直近書いてるDisplayPort周りのRTLのモジュールやDDSの波形生成モジュールはVerylで書いている。Rust書いてる身としてはかなり書きやすい。生成されたSystemVerilogがほぼ1対1対応なので読めるってのも重要なところ。 github.com/ciniml/fpga_sa…
SystemVerilogでブロッキング代入とノンブロッキング代入を一つのalways内で行う場合にalways_combやalways_ffを使わずに書くことになるのでVerilogHDLでいいんじゃねぇかってなる
SystemVerilogには誤りを未然に防ぐための仕組みが多く組み込まれています。今回の改訂版により、その仕組みが設計と検証の両分野で強化されている事がわかります。ここで、それらを一旦整理してみます。 pic.twitter.com/9i6oO1hevN
あらためてSystemVerilog、と言われた時に、 「こんな使い方あったのね」を再認識できそうです。 FPGA開発にも大いに使えそうですね。 SystemVerilogの検証では何が出来る? 4月17日(水) 15:00 - 16:30 events.teams.microsoft.com/event/d60f3f63…
メモ。SystemVerilogでRISC-Vを設計している。 SystemVerilog with RISC-V Processor Design amazon.co.jp/dp/B09PMFY8Y3/
4月2日の楽天ランキング6位! 実践UVM入門 検証のためのSystemVerilogクラスライブラリー/篠塚一也【3000円以上送料無料】 価格:4950円 hb.afl.rakuten.co.jp/hgc/g00rd1d9.b…
ファンクショナルカバレッジの機能が拡張されたので、SystemVerilogコンパイラーとシミュレータに追加機能を実装しました。作業は単純ではなかったのですが、実装を終わってテストしてみると、矢張り便利な機能であるという気がします。 pic.twitter.com/sprgAJeqOd